在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5807|回复: 3

[求助] Vivado2015.4布局布线报错

[复制链接]
发表于 2016-9-9 13:25:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问哪位大侠有知道这个报错的原因吗?
[DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port SYSCLK_N is Single-Ended but has an IOStandard of DIFF_HSTL_II_18 which can only support Differential


[DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port SYSCLK_P is Single-Ended but has an IOStandard of DIFF_HSTL_II_18 which can only support Differential


这是板子上的一个系统差分时钟,1.8V输出,差分信号进来先经过了一个IBUFDS,又经过一个BUFG,但是仍然认为这是一个单端信号,我在Synthesis Design里用图形化工具分配管脚的时候可以看到是把它认为差分的,但是生成Bitsream的时候又报错。ps:我在另外一个工程里是相同的用法,不过另外一个工程里有用BUFG的输出(sys_clk)做触发时钟计数,而这个工程里仅仅把sys_clk连到GTP模块,离板级测试只差一步了,卡在这里
求哪位大侠能够帮我一下,非常感谢!!!
 楼主| 发表于 2016-9-9 13:45:24 | 显示全部楼层
自己发的贴,自己顶一下,求大神解决啊,刚刚用sys_clk做了个计数做为reset信号,但是还是出一样的错误。没招了~
 楼主| 发表于 2016-9-9 15:34:53 | 显示全部楼层
Problem Solved,因为我的工程只有输入,没有输出,原本是打算用ILA或者VIO观察信号的,没想到不给输出不行,随便引一个管脚出来做为output就OK了,奇怪为什么会认为是CLOCK单端的错误
发表于 2016-9-9 22:53:22 | 显示全部楼层
回复 3# ahy


   不奇怪,因为输出都被优化掉了,最终就只剩一个输入管脚了,不就只有单端了么,,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 21:31 , Processed in 0.026328 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表