在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2164|回复: 2

[求助] 同步FIFO时序仿真与功能仿真不一致

[复制链接]
发表于 2016-8-5 10:33:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
写了个同步fifo的程序,结果功能仿真与时序仿真的结果不一致,可是时序分析的结果没有看出时序仿真表现出来的那么大的延迟,这是为什么?这个延迟怎么来的?一般碰到这种情况应该从哪方面入手?谢谢!以下分别是:功能仿真、时序仿真、时序分析图(fifo_empty、fifo_half、fifo_full我没有输出,不用管,主要是length:队列长度、nextstate、data:队列数据这三个有问题)

功能仿真

功能仿真

时序仿真

时序仿真

时序分析

时序分析
发表于 2016-8-5 11:02:44 | 显示全部楼层
把read、write、fifo_in等输入信号在testbench中用clk的下降沿触发,估计你现在是用的上升沿触发,时序仿真肯定会出问题的。
 楼主| 发表于 2016-8-5 15:25:45 | 显示全部楼层
回复 2# 小武哥225
我知道了,我也知道原因是什么了。。。谢谢!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-16 11:58 , Processed in 0.030362 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表