在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2964|回复: 3

[求助] FPGA Verilog 矩阵相乘求助

[复制链接]
发表于 2016-5-13 15:37:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近在用verilog实现两个矩阵相乘,请问该怎么做啊,给个思路,请大家指教
 楼主| 发表于 2016-5-13 19:35:15 | 显示全部楼层
没人做过吗,各位
发表于 2016-5-15 10:18:05 | 显示全部楼层
小弟也初学FPGA,但没用过矩阵相乘,不过乘法器感觉都是在一个时钟节拍内单个数据与单个数据相乘,我有一个不成熟的小建议是将数据通过缓存后以单个数据输出,将两路数据相乘。
发表于 2016-5-16 09:23:27 | 显示全部楼层
C=A*B,C=∑A[i][k]*B[k][j];
按照公式计算不就行了。
注意时序问题就行了啊。
可能我没明白你的问题?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 15:56 , Processed in 0.023842 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表