|
|
发表于 2016-5-12 10:15:48
|
显示全部楼层
回复 3# hms2006
贴个完整的 更清楚些。
- module fifo(input wire clk,
- input wire rst,
- input wire [31:0] indata,
- output reg [7:0] outdata);
-
- reg [7:0]i;
-
- always @ (posedge clk or posedge rst)
- if (rst)
- i <= 8'b0;
- else if (i < 3)
- i <= i + 8'b01;
- else
- i <= 8'b0;
- always @ (posedge clk or posedge rst)
- if (rst)
- outdata <= 8'b0;
- else
- outdata <= indata >> (i << 3);
-
- endmodule
复制代码 |
|