在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2961|回复: 6

[原创] modelsim之do文件的最简使用示例

[复制链接]
发表于 2016-5-8 21:12:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
文章背景:在大公司的大项目中的do文件比较复杂,调用的库比较多,编译的代码也比较多,仿真一次可能几十分分钟至几个小时。对于想验证独立小功能,在大工程上验证的话是非常麻烦,花的时间也会很长。此次用了最简单的一个实例说明了do文件在modelsim中的使用。


sim.do

#新建work库
vlib work

#该命令的作用是将目前的逻辑工作库work和实际工作库work映射对应
vmap work work

#编译v文件
vlog F:/modelsim_prj/*.v

#仿真work库中名为counter_tb的模块,最小时间单位为1ns
vsim  work.w_tb_top  -t 1ns


add wave -r sim:/w_tb_top/*

run 40us





w_tb_top.v

//wsz@20160508
`timescale
1ns/100ps
module  w_tb_top(
output
clk_o);

reg
board_clk_62_5 ;
reg
board_rst ;

initial
begin

board_clk_62_5 = 0 ;
board_rst = 1 ;

board_clk_62_5 = 0 ;
board_rst = 1 ;

#700 ;

board_rst = 0 ;

#400 ;

board_rst = 1 ;

end



always #8 board_clk_62_5 = ~board_clk_62_5 ;

assign clk_o = board_clk_62_5;


endmodule
 楼主| 发表于 2016-5-9 22:11:20 | 显示全部楼层
#新建work库
vlib work

#该命令的作用是将目前的逻辑工作库work和实际工作库work映射对应
vmap work work

#编译v文件
vlog F:/modelsim_prj/*.v

#仿真work库中名为counter_tb的模块,最小时间单位为1ns
#需要添加-novopt,否则除了端口信号外的其他信号,其他信号不能在wave中呈现
vsim -novopt work.w_tb_top  -t 1ps

#添加波形信号到wave
add wave -r sim:/w_tb_top/*

#忽略错误
onerror {resume}

#记录设计相关的信号
log -r /*

#quietly WaveActiveNextPane {} 0

run 40us
发表于 2016-5-13 02:24:55 | 显示全部楼层
谢谢分享!
发表于 2016-5-13 08:51:17 | 显示全部楼层
thanks for sharing
 楼主| 发表于 2016-8-8 22:49:43 | 显示全部楼层
补充:
如果不使用-novopt 命令行的话,会导致有些信号不会显示到modelsim中。
 楼主| 发表于 2016-8-8 23:02:45 | 显示全部楼层
本帖最后由 wsz561208 于 2016-8-8 23:04 编辑

do文件里换行使用“\”在句末表示,增加层次感,方便理解,而且不会报错
例如:
vsim         -t 1ps \
        -L $XILINX_LIB/xilinxcorelib_ver \
        -L $XILINX_LIB/secureip \
        -L $XILINX_LIB/simprims_ver \
        -L $XILINX_LIB/unisims_ver \
        work.w_tb_top

不使用“\”的话需要这样写:
-L $XILINX_LIB/xilinxcorelib_ver -L $XILINX_LIB/secureip -L $XILINX_LIB/unisims_ver -lib work rniten_tb_top glbl
发表于 2016-8-8 23:44:21 | 显示全部楼层
当然要走vopt flow,可以用acc来控制加哪些信号。Modelsim 10以上还可以启用debug功能...
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-22 05:33 , Processed in 0.027512 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表