在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5302|回复: 7

[求助] systemverilog如何将单精度浮点数转换为32bit的形式?

[复制链接]
发表于 2016-4-21 15:21:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问systemverilog如何将一个单精度浮点数转换为32bit的二进行码?
比如,我如果想将单精度浮点数表示的9,转为32bit的形式,我期望一个32‘h41100000。而不是一个32'h9。

我试了几种方法都不行。
发表于 2016-4-21 19:43:43 | 显示全部楼层
dpi + c语言的联合体
 楼主| 发表于 2016-4-22 08:27:35 | 显示全部楼层
回复 2# zw84611


    您好,能具体说一下吗?
发表于 2016-4-22 08:33:12 | 显示全部楼层
在计算机内部 不论整数还是单或双精度浮点数 都是用二进制来表示和处理的。

所以你的问题是 如何用16进制形式将它打印出来么?
 楼主| 发表于 2016-4-22 13:58:14 | 显示全部楼层
回复 4# ltshan


    您好,我的目的是这样:

    产生一个单精度浮点数,并把它压在一个包里,送给FPGA内部逻辑处理。FPGA内部逻辑支持单精度浮点数运算,但是只能认识32bit的单精度浮点数的原始格式。如9,给到FPGA的包里,这个9应该表示为32‘h41100000。

    我在给定义这个浮点数的时候,如果写成shortreal型,把它放在一个包里,怎样才能让这个数表示为32‘h41100000给入FPGA,而不是32'h9给入逻辑?
发表于 2016-4-22 19:21:58 | 显示全部楼层
C程序里:
typedef union
{
    float f;
   ungisnged int i;
} fi_t;

fi_t x;x.f = 9
x.i就是你想要的数。
可写成一个函数,通过dpi在sv中使用。当然,是不可综合的。
 楼主| 发表于 2016-4-27 10:47:25 | 显示全部楼层
感谢上面的回答,还有没有在systemverilog里更方便的办法?
发表于 2016-7-14 05:30:42 | 显示全部楼层
回复 7# myhedwig


module test;
  shortreal a = 9;
  reg [31:0] b;

  initial begin
    $display("a = %f", a);
    b = $shortrealtobits(a);
    $display("b = %08h", b);
    $finish;
  end
endmodule
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 18:36 , Processed in 0.023980 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表