在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3804|回复: 2

[求助] 请问virtual clock 是干什么用的

[复制链接]
发表于 2016-4-19 15:27:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请哪位大神讲一下virtual clock 是干什么用的
发表于 2016-4-19 16:13:42 | 显示全部楼层
我知道的一个用处:比如要约束一个纯组合逻辑的电路,就可以设一个virtual clock。将其input_delay, output_delay都相对于这个virtual clock来设。
发表于 2016-4-21 14:24:31 | 显示全部楼层
一般用来看端口in、out delay
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 22:35 , Processed in 0.020321 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表