在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 甲壳虫

[求助] [verilog] Verilog语言的编写

[复制链接]
 楼主| 发表于 2016-5-9 17:40:18 | 显示全部楼层
回复 49# ltshan
你用Quartusii生成的模板,那里面不是还要添加代码吗/?我今天是啦一下但是总是出错。
发表于 2016-5-11 09:42:39 | 显示全部楼层
回复 51# 甲壳虫

当然要加代码  否则tb怎么和dut链接在一起。   建议你找个最简单例子先把基本方法弄熟。verilog入门书都有的。
我的tb如下:



  1. `timescale 1 ps/ 1 ps
  2. module calculation_vlg_tst();
  3. // constants                                          
  4. // general purpose registers
  5. reg eachvec;
  6. // test vector input registers
  7. reg clk;
  8. reg rst_n;
  9. // wires                                               
  10. wire [7:0]  avg;
  11. wire [7:0]  indata;
  12. wire [7:0]  outdata;
  13. wire [7:0]  rd_addr;
  14. wire we;
  15. wire [7:0]  wr_addr;

  16. // assign statements (if any)                          
  17. calculation i1 (
  18. // port map - connection between master ports and signals/registers   
  19.         .avg(avg),
  20.         .clk(clk),
  21.         .indata(indata),
  22.         .outdata(outdata),
  23.         .rd_addr(rd_addr),
  24.         .rst_n(rst_n),
  25.         .we(we),
  26.         .wr_addr(wr_addr)
  27. );
  28. initial                                                
  29. begin                                                  
  30. // code that executes only once                        
  31. // insert code here --> begin                          
  32.         clk = 1'b0;
  33.         rst_n = 1'b1;
  34.         #10 rst_n = 1'b0;
  35.         #10 rst_n = 1'b1;
  36. // --> end                                             
  37. $display("Running testbench");                       
  38. end        

  39. always #50 clk = ~clk;
  40.                                             
  41. always                                                
  42. // optional sensitivity list                           
  43. // @(event1 or event2 or .... eventn)                  
  44. begin                                                  
  45. // code executes for every event on sensitivity list   
  46. // insert code here --> begin                          
  47.                                                       
  48. @eachvec;                                             
  49. // --> end                                             
  50. end                                                   
  51. endmodule


复制代码
发表于 2016-6-3 22:08:39 | 显示全部楼层
回复 46# ltshan

没怎么看懂你写的这个,等我好好研究研究,是根据那个流程图写的代码吗?谢谢,
发表于 2016-6-5 13:18:58 | 显示全部楼层
always 自带循环
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 17:10 , Processed in 0.021745 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表