在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7065|回复: 4

[求助] VHDL可以直接定义浮点数或定点小数?verilog不行吧?

[复制链接]
发表于 2016-3-29 16:55:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近想用FPGA作算法,但对于小数和浮点数计算很困惑,有此疑问,请大家多多指教,先谢过。

1:在verilog中定义的接口,都是input、output之类,表示的也都是固定位的整数,但我在何宾老师写的xilinx FPGA数字信号处理权威指南中看到,例子都是用Vhdl写的,而且在添加了库声明和库调用语句后,可以直接定义定点数,如ufixed(4 downto 0),或浮点数float32,然后在代码中直接用+ - * /进行运算,这具是可以综合的么?如果VHDL可以直接这么定义小数的话,那作算法是不是用VHDL更好,输入输出直接用小数给定,不用转换成整数了?verilog中有类似功能么?

2:在sysgen中,用模型搭建算法,都有个边界,就是gateway,在个作用是在仿真中将输入的浮点信号转换成定点信号,可是这么作仿真是没问题了,但他生成的模块,我要从别的模块给输入,给的还是定点数啊,那岂不是每个定点小数还得转换成整数给进去才能用?浮点运算IP核也有这样的疑问,他们的输入端口都是定点数吧,用verilog怎么例化,有没有好的参考例子呢?
发表于 2016-3-29 21:24:02 | 显示全部楼层
verilog里是real,不可综合。
感觉vhdl里的浮点数应该也不可综合。
其实在硬件里,浮点数也就是一个32bit或64bit的数(参见IEEE 754 标准)。
 楼主| 发表于 2016-3-29 23:46:13 | 显示全部楼层
回复 2# zw84611

谢谢您的回复。如果是定点数,整数和小数都好理解,按加权值都可以容易算出对应的二进制码,或是定义好整数位与小数位,也比较容易从二进制推出十进制的数来,或者说编程的时候很容易转换,但如果是浮点数,根据IEEE的标准,不太容易用一段统一的代码将一个十进制数转换成浮点数表示,除非用浮点IP核吧?
发表于 2024-2-1 18:18:12 | 显示全部楼层
你好,请问你解决这个问题了嘛,俺也有相同的困惑
发表于 2024-2-5 15:45:07 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 06:41 , Processed in 0.028912 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表