在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: mil818

[求助] UVM构造函数new的疑问,困扰一周了,先谢谢了

[复制链接]
发表于 2018-7-20 22:48:10 | 显示全部楼层
super是起到调用父类函数的意思吗?
发表于 2018-7-22 07:03:50 | 显示全部楼层
For any function/task can give default value for input argument in system verilog.
Here new function has an input 'parent' of type 'uvm_component'. And given default value as 'null'.
For any uvm component constructer definition can give with default value null. It will get the new value from 'create' method. For uvm_test, there is no parent, since that is the top most layer of testbench.
发表于 2018-7-22 07:06:49 | 显示全部楼层
Super is a system verilog keyword, to call the base class method. In the first post, new from uvm_test is called with super
发表于 2018-7-25 11:13:02 | 显示全部楼层
component树形结构中test是树根,没有parent的,
发表于 2019-2-13 20:14:52 | 显示全部楼层
1、new构造函数的形参为"name"和"parent",而构造函数内的super.new(name,parent)可以理解为根据输入的"parent"确定该class在UVM树中的节点,由根至叶从而构建起整个拓扑树。
2、env的"parent"当然是"test1",因此在"t_env = new("t_env",this)"中this即是类test1。又由于test1为树根(TB的顶层),那么它不存在"parent",因而test1的构造函数new中的"parent=null",当然"super.new(name,parent)"中的"parent"实际上就算是null了。
说的不对的地方,希望大家批评指正,谢谢!
发表于 2020-4-24 10:26:42 | 显示全部楼层
学习了~
发表于 2021-12-30 17:30:46 | 显示全部楼层


索手锋芒 发表于 2016-7-18 18:09
请先把形参实参先搞清楚。建议很学systemverilog


这跟实参形参有关系吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 07:04 , Processed in 0.023158 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表