在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2594|回复: 2

[求助] [求助]icc 加了set_fix_hold [all_clocks]后不收敛

[复制链接]
发表于 2016-2-21 16:17:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
设计是多时钟的,designer的时钟关系设计的比较乱,部分时钟间有相位要求。我后端实现发现:
case1:设置min_library ss.db -min_version ss.db,时钟综合是  设置set_fix_hold [all_clocks] , 再route,icc可以顺利跑完。
case2:设置min_library为 ss.db -min_version ff.db,时钟综合是 不设置set_fix_hold [all_clocks] , 再route,icc可以顺利跑完,有Hold violation。
case3:设置min_library为 ss.db -min_version ff.db,时钟综合是    设置set_fix_hold [all_clocks] , 再route,icc 会跑死,violation 几十万个。


想问,case3的min_library设置方式是标准正确的icc设置吧,?以前同事设置的是case1方式,min_library都是ss。
发表于 2016-2-24 16:08:31 | 显示全部楼层
第一种正确,
第二种因为没有fix hold,所以可以顺利跑出来
第三种错误,因为不能max lib 和min lib 要一样的。 芯片不可能同时工作的两种PVT下面的。
发表于 2016-2-29 09:02:38 | 显示全部楼层
首先要确认下各个时钟之间是不是可以false path的?false path的标准就是看看时钟之间的数据有没有做同步的处理。
然后set_fix_hold的命令,可以在cts之后,进行route再加上去,看一下cts之后时钟之间的hold情况有多差。
一般如果时钟树之间skew比较大, 也就是cts的效果很差,就会导致hold很难满足。所以也需要看你你的cts的各个时钟之间的balance做的怎样。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 07:50 , Processed in 0.017427 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表