在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8750|回复: 10

[求助] 请教一个XA(customsim)和vcs联合仿真

[复制链接]
发表于 2016-1-13 10:42:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求教一个问题:
我用customsim和vcs联合仿真,跑通了工具自带的例子(spice top ,verilog child block),但是用同样的设置跑另外一个仿真,好像verilog子模块认不到了,在simv.msv文件夹里只产生了一个through_net.rpt, 接口报告都是a2a。而例子中产生的报告不是through_net.rpt,而是interface_element.rpt,并且报告中的接口都是a2d的。

我在例子中用的vcsAD.init和xa.cfg文件以及run文件,和实际用的都没有区别。

不同的是,例子中只有一个verilog子模块,verilog子模块中没有层级结构。
我的实际应用中verilog模块里面有层级结构,并且都是verilog的。大概是这样:

               spice_top
               /            \
             /               \
           /                  \
     spice_1         verilog_1
    /    |     \           /  |   \
   *    *     *        /    |     \
                        /      |       \
                     /         |         \
                   /           |           \
                /              |             \
  verilog_1_1 verilog_1_2    verilog_1_3

需要有什么特别的设置么?求教大神解答!非常感谢。
发表于 2017-3-9 09:39:03 | 显示全部楼层
贴出你的ad.init看看?
发表于 2017-4-11 16:50:59 | 显示全部楼层
who know it?
发表于 2018-7-27 16:00:29 | 显示全部楼层
有文档吗
发表于 2020-6-13 09:08:56 | 显示全部楼层
静等专家解答
发表于 2020-7-10 17:35:42 | 显示全部楼层
wait ....
for solution
发表于 2022-8-16 15:50:50 | 显示全部楼层
请问这个问题具体应该如何解决呢?
发表于 2022-8-16 15:52:16 | 显示全部楼层


xsec 发表于 2017-3-9 09:39
贴出你的ad.init看看?


请问是要如何进行配置呢?
发表于 2022-8-31 17:32:15 | 显示全部楼层


2668687274 发表于 2022-8-16 15:52
请问是要如何进行配置呢?


在这个文件中指定每一个部分是用的hdl还是spice即可
发表于 2024-8-27 11:20:45 | 显示全部楼层
本帖最后由 beanspower 于 2024-8-27 11:30 编辑

可以参考一下:
https://zhuanlan.zhihu.com/p/427487049

https://zhuanlan.zhihu.com/p/64434740/
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 01:26 , Processed in 0.043751 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表