在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1892|回复: 3

[求助] 请教FPGA时钟约束问题

[复制链接]
发表于 2016-1-12 17:30:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
对外部输入时钟及内部产生的时钟如何做时钟周期约束呢?查了写资料可以在ucf文件中写:
NET "XC3S_CLK" TNM_NET = "sys_clk";
TIMESPEC "TS_sys_clk" = PERIOD "sys_clk" 50 ns HIGH 50%;

XC3S_CLK是外部输入的主时钟管脚,但是对于内部产生的分频时钟信号呢?
发表于 2016-1-12 20:46:19 | 显示全部楼层
如果内部的分频信号是通过PLL分频出来的,FPGA会自动加上约束的
 楼主| 发表于 2016-1-14 13:46:19 | 显示全部楼层
回复 2# whz7783478

不是通过PLL或DCM分的,自己用用计数器分的
发表于 2016-1-14 14:07:50 | 显示全部楼层
在FPGA上自己用计数器分频(DFF输出分频clock)的话,
我的理解,分频后跟分频前的时钟,是不属于一个clock domain的。
用BUFG推一下分频后的clock,然后跟ASIC一样,create_clock即可。
(理解有误请指正)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 08:14 , Processed in 0.025163 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表