在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3246|回复: 5

[求助] 有关DC综合时序报告中的问题

[复制链接]
发表于 2016-1-7 12:05:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
1.DC综合时序报告中关键路径延时中有些为0,这主要是由于什么原因?2.综合后用NC后防分析,发现关键路径中时序模块的延时对不上,要么没有要么变少了,这是什么原因啊?
求大神讲解啊!
发表于 2016-1-7 14:38:37 | 显示全部楼层
给出个报告来看看吧。
 楼主| 发表于 2016-1-7 20:51:11 | 显示全部楼层
****************************************
Report : timing
        -path full
        -delay max
        -max_paths 1
        -sort_by group
Design : pic16_top
Version: X-2005.09-SP2
Date   : Tue Dec 29 15:20:25 2015
****************************************

Operating Conditions: TYPICAL   Library: smic25_tt
Wire Load Model Mode: segmented

  Startpoint: portB_in[1]
              (input port clocked by clock)
  Endpoint: f_out[1] (output port clocked by clock)
  Path Group: clock
  Path Type: max

  Des/Clust/Port     Wire Load Model       Library
  ------------------------------------------------
  reg_general        reference_area_1000000
                                           smic25_tt
  pic16_top          reference_area_1000000
                                           smic25_tt
  reg_top            reference_area_1000000
                                           smic25_tt
  reg_mux_f          reference_area_20000  smic25_tt
  mux_alu_b          reference_area_20000  smic25_tt
  mux_alu_a          reference_area_20000  smic25_tt
  alu_top            reference_area_20000  smic25_tt
  program_counter    reference_area_20000  smic25_tt

  Point                                                   Incr       Path
  --------------------------------------------------------------------------
  clock clock (rise edge)                                 0.00       0.00
  clock network delay (ideal)                             0.00       0.00
  input external delay                                    9.00       9.00 f
  portB_in[1] (in)                                        0.00       9.00 f
  u_reg_top/portB_in[1] (reg_top)                         0.00       9.00 f
  u_reg_top/u_reg_general/portB_in[1] (reg_general)       0.00       9.00 f
  u_reg_top/u_reg_general/U442/Z (AOI222HD2X)             0.57       9.57 r
  u_reg_top/u_reg_general/U8/Z (NAND4HD2X)                0.19       9.76 f
  u_reg_top/u_reg_general/f_out[1] (reg_general)          0.00       9.76 f
  u_reg_top/f_out[1] (reg_top)                            0.00       9.76 f
  f_out[1] (out)                                          0.00       9.76 f
  data arrival time                                                  9.76

  clock clock (rise edge)                                20.00      20.00
  clock network delay (ideal)                             0.00      20.00
  output external delay                                  -9.00      11.00
  data required time                                                11.00
  --------------------------------------------------------------------------
  data required time                                                11.00
  data arrival time                                                 -9.76
  --------------------------------------------------------------------------
  slack (MET)                                                        1.24

另外有些有library的延时,这项延时是什么情况下出现的啊?
发表于 2016-1-8 08:59:42 | 显示全部楼层
那些延迟为0的,比如说你的top的输入portB直接穿到底层模块,相当于直接连过去没有逻辑,这些是0,你这个是输入到输出的path,里面只有组合逻辑没有寄存器,library的延迟,你看看应该是library setup time,是说library中寄存器的建立时间
 楼主| 发表于 2016-1-8 09:34:13 | 显示全部楼层
回复 4# 南宫恨
发表于 2023-11-7 12:03:09 | 显示全部楼层
前辈说得好
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-30 13:58 , Processed in 0.088341 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表