在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6522|回复: 5

[求助] non-unate clock 处理方法

[复制链接]
发表于 2015-12-23 19:05:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 maws 于 2015-12-23 19:07 编辑

未命名.bmp 项目中有这样的逻辑

clk_src 定义时钟后,DC 会报告non-unate clock ,确实需要正向与反向时钟都有,但是如果不做任何设置,clk_src 与取反的clk_src之间也会进行分析,这是不希望看到的,大家有没有更好的设置方法呢?
现在想到
1 反向时钟定义genearted clock,与clk_src 设置false path
2 两个sdc,不同case analysis
3   将这种结构该为一个异或门,是不是更容易设置?

注:后面接的逻辑与其他时钟没有path,感觉只分析一种情况就好了(用一个case analysis),但是因为这种结构两种情况走的路径不同(一个经过反向器,一个没有),所以准确的话,应该都分析到,这样路径延迟才准确,如果用一个异或门代替这种结构,只有一条路径,是不是只分析一种情况就好了?

新手,虚心求教
发表于 2015-12-24 08:15:59 | 显示全部楼层
cts把case给拿掉,或者对两端口设置动态平衡
发表于 2015-12-24 09:20:24 | 显示全部楼层
定义2个clock clk_src, clk_src_n,
这两个时钟设置成两个group
把这两group设置成logical_exclusive


大家觉得如何?
发表于 2015-12-24 09:24:10 | 显示全部楼层
如果你的_src周期够大,clk_src和 clk_src_n两个clock之间时序能满足
虽然clk_src和clk_src_n两个时钟实际上不存在timing 关系

多分析就让工具多分析下页可以的呀。
 楼主| 发表于 2015-12-24 09:47:13 | 显示全部楼层
回复 4# y3rike
多谢!!!
这样的地方还挺多,我先按照您的方法处理与暂时别管这些做个对比,看看对综合结果有没多大影响,如果影响不大,就暂时先忽略了
 楼主| 发表于 2015-12-24 10:06:41 | 显示全部楼层
回复 2# qingwatiaowu


   多谢!!
那按照您所说的第一种处理方法,CTS 时把case 拿掉,那ICC 是不是就会重新分析clk_src与clk_src_n之间呢??
第二种方法交给后端去处理,感觉挺好的,学习了!! 再次感谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 05:49 , Processed in 0.023006 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表