在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3529|回复: 8

[求助] nc verilog dump波形问题

[复制链接]
发表于 2015-12-23 14:00:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Novas dump fsdb波形时,log报出如下内容,


ERROR:        ACC           PLISVG
           The routine acc_object_of_type() can not be applied to an object of type vpiInterface. Use the system verilog VPI instead(Clause 27, IEEE std 1800-2005)




波形里除了最顶层,没有其他层级关系和信号,请问如何解决?
发表于 2015-12-24 14:03:04 | 显示全部楼层
应该是,编译的参数不对,dump fsdb 需要用到verdi, 我用的vcs,也没法帮你查手册,楼主,自行搜索下nc的帮助吧,应该是有说明的
 楼主| 发表于 2015-12-25 16:05:38 | 显示全部楼层
回复 2# gogosese

感觉好像是dump波形时不能包含sv接口,我从dut顶层开始dump波形就可以了
发表于 2015-12-25 18:14:53 | 显示全部楼层
回复 3# simonhqz


   dut 顶层,也就是verilog的最顶层module? 你用的是什么函数来dump波形?
 楼主| 发表于 2015-12-28 18:04:47 | 显示全部楼层
回复 4# gogosese

对啊 verilog的最顶层,调用的initial

begin

$fsdbDumpfile("*.fsdb");

$fsdbDumpvars(0,**);

end

发表于 2015-12-29 10:29:16 | 显示全部楼层
首先uvm的东西是不能dump出波形的 , 如果你说的sv是uvm的意思。

但是用module的方式写的sv应该是可以dump出波形的,你函数应该没问题,如果是这种情况,请检查仿真器的参数
发表于 2015-12-29 14:48:04 | 显示全部楼层
ncverilog直接dump fsdb波形的话需要以下3步:
1.compile选项加-fsdb
2.compile选项中加 -loadvpi /appl/tools/novas/.../PLI/IUS/LINU64/libpli.so(路径为novas libpli.so的路径)
3.在tb顶层加$fsdbDumpfile和$fsdbDumpvars
发表于 2015-12-29 14:50:08 | 显示全部楼层
ncverilog编译选项加上:
-fsdb\
-loadvpi /appl/tools/novas/.../share/PLI/IUS/LINUX64/libpli.so(libpli.so的路径)
发表于 2015-12-29 14:50:31 | 显示全部楼层
ncverilog编译选项加上:
-fsdb\
-loadvpi /appl/tools/novas/.../share/PLI/IUS/LINUX64/libpli.so
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 09:54 , Processed in 0.033080 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表