在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7453|回复: 8

[原创] 请教dump 波形,如何无法dump 二维数组的值?

[复制链接]
发表于 2015-12-21 21:16:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教dump 波形,如何无法dump 二维数组的值?

$fsdbDumpfile("waveform.fsdb");

$fsdbDumpvars(0, top);

$fsdbDumpMem(top.dut.ram);


vcs报错:


Error-[UST] Undefined System Task Call
../testbench/top.v, 106
  Undefined System Task call to '$fsdbDumpMem'.
发表于 2015-12-22 09:29:33 | 显示全部楼层
回复 1# atlandis


就是看不了
 楼主| 发表于 2015-12-22 09:44:04 | 显示全部楼层
回复 2# 南宫恨


   那请教如何能看下面这种数组的值呢?
reg [11:0] PixelHolder[15:0];
发表于 2015-12-22 10:16:50 | 显示全部楼层
回复 3# atlandis


一样没办法
 楼主| 发表于 2015-12-22 10:55:56 | 显示全部楼层
回复 4# 南宫恨


   那解决办法呢?只能用vcd来看?
发表于 2015-12-22 11:02:50 | 显示全部楼层
回复 5# atlandis


VCD我也不确定行不行,好久没用过,不过依稀记得都不可以貌似
发表于 2015-12-22 22:10:59 | 显示全部楼层
环境变量设置有问题吧
 楼主| 发表于 2015-12-24 13:55:02 | 显示全部楼层
回复 7# zhaichunhua168


   我感觉是vcs版本不行,缺少fsdbDumpMem 这个库函数
发表于 2016-1-27 13:19:19 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 16:43 , Processed in 0.027233 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表