在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5216|回复: 8

[求助] ncverilog rtl前仿寄存器赋值传递出错,求教

[复制链接]
发表于 2015-11-20 11:22:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求教,在用ncverilog前仿时,非阻塞赋值,clk_slow是clk分频后的时钟,dout与clk_slow同步,采add_out_2的值出错,请教是因为仿真选项没有加对么,还是其他的原因?
另我用vcs仿整个project时dout采到的是add_out_2上升沿之后的值,vcs单独仿这段代码采到的是上升沿之前的值。
拉开波形看信号之间没有延时,请问是内部默认包含了延时么,还是其他原因?期待回复



                               
登录/注册后可看大图



                               
登录/注册后可看大图
发表于 2015-11-20 23:12:32 | 显示全部楼层
稍微贴一段代码吧,干描述太难想像了。
 楼主| 发表于 2015-11-21 15:49:24 | 显示全部楼层
回复 2# gaurson
第一次贴图 ,赋值的代码 和nc仿真的波形
QQ截图20151120111400.png
QQ截图20151120111340.png
发表于 2015-11-21 16:35:08 | 显示全部楼层
加单位delay吧,好看波形。
发表于 2015-11-21 23:00:32 | 显示全部楼层
这个波形采样都是错的呀,你的add_out_2是不是在上升沿还有别的变化?现在采样的值和add_out_2都对不上呀。
 楼主| 发表于 2015-11-24 15:27:33 | 显示全部楼层
回复 5# gaurson


    并没有别的变化。。用vcs单独仿真这段代码能正确赋值。nc就是错的,让我很困惑这个问题。。
 楼主| 发表于 2015-11-24 15:29:20 | 显示全部楼层
回复 4# kk2009


    加个单位delay的意思是?把add_out_2延时一点在赋值给dout么,还是仿真时候有什么选项可以自动加入delay。求教!
发表于 2015-11-24 23:01:27 | 显示全部楼层
回复 6# wlw98


   关键你波形上看到上升沿前后对应的数据对不上。。。。。。
发表于 2020-5-29 11:15:02 | 显示全部楼层
你好,請問這個問題解決了嗎
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 12:32 , Processed in 0.038380 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表