在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5858|回复: 3

[求助] 關於debussy的NWAVE

[复制链接]
发表于 2012-12-18 00:41:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想請問兩個問題
1.
我照docin.com/p-461572586.html(前面加www)
裡的指示去做 但我都按照他的指示去裝去跑
86頁那 不知為何我沒法顯示出. fsdb檔
我在modelsim程式裡 圖檔都跑正常
也在ISE那添加
initial
    begin
        $fsdbDumpfile("xor_wave.fsdb");
        $fsdbDumpvars;
   end
但在xor資料夾裡面卻找不到.fsdb檔  有人知道為何嗎?

然後我有一個大問題就是在我要把ISE 跟modelsim做關聯
(在simulation library compilation wizard 最後那)
我跑完竟然有216個錯(ERR)
大部分都是 EDK有人知道怎麼解嗎??
我有把xilinx/14.3/ISE-DS/ISE/modelsim.ini 跟modeltech64_10.1c/modelsim.ini 裡的屬性都去掉唯獨
也有COPY  modeltech64_10.1c/modelsim.ini  到xilinx/14.3/ISE-DS/ISE/modelsim.ini去
也有做novas.dll那個 也有在ISE=>preferances 那 連到modelsim.exe
環境屬性那也有改成一般說要改的那個 不過我兩個都是license.txt   (資料夾裡跟 環境屬性那裏    因為有些人說要改成.dat但.dat我跑步了modelsim程式 ) 也用了mentorG那兩個crack

不知為何還是會有那麼多EDK 的ERR 以及其他的ERR(我用 ise 14.3 + modelsim SE 64 10.1c +debussy 5.4v9+Matlab 2012a
應該沒有相容性的問題吧)
請問有人有經驗大概推得出那裡有問題嗎?

2.
我算是初學者 想請問一下
像我如果用ISE iPACT跑出來 如果想接到版子上去看
像docin.com/p-461572586.html(前面加www)
的45業那
我需要買 或準備哪種板子 跟他的連接線
(因為我是用自己筆電在家去跑的)


Library           Lang          Mapped Name        Err#(s)     Warn#(s)

unisim            vhdl           unisim                     3               0
simprim          vhdl           simprim                   2               0
xilinxcorelib    vhdl           xilinxcorelib             1               0
coolrunner     vhdl           cpld                         1               0
edk                                  edk                         216           58

我只把有err的列出來
好像只要有VHDL就有錯
verilog都沒錯
有人知道為何嗎?
要怎麼修改???


非常感謝如果有人能幫我一下
发表于 2012-12-25 18:22:42 | 显示全部楼层
求帮助啊
发表于 2012-12-25 20:31:16 | 显示全部楼层
求帮助
发表于 2012-12-26 16:04:19 | 显示全部楼层
据我所知,medelsim无法直接产生fsdb格式文件,需要debussy的额外支持,不知道你是怎么建环境的,不过建议可以换个思路,先生成通用的VCD格式文件,

$dumpfile ("wave_file.vcd");   
$dumpvars(0,dut_name);

再使用vcd2fsdb命令转化(好象是这个名字,你可以在网上查查是不是),缺点是时间有点长
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 18:13 , Processed in 0.033138 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表