在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1844|回复: 0

[原创] 请教UVM验证出现的warning

[复制链接]
发表于 2015-10-27 17:23:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我定义了一组interface,然后在simulation的时候,报出了下面的warning,请教大家这是什么情况?


code:

interface sram_if (input clk);

    parameter setup_time = 1;
    parameter hold_time = 1;


wire                           ceb   ; // chip select; active low

wire                           web   ; // write enable; active low

wire [8:0]                     addr  ; // write/read address

wire [191:0]                   wdata ; // write data to SRAM

wire [191:0]                   rdata ; // read data from SRAM

    clocking mst_cb @(posedge clk);
        default input #setup_time output #hold_time;

output                          addr;

output                          ceb;

output                          web;

output                          wdata;

input                           rdata;
    endclocking : mst_cb

    clocking slv_cb @(posedge clk);
        default input #setup_time output #hold_time;

input                           addr;

input                           ceb;

input                           web;

input                           wdata;

output                          rdata;
    endclocking : slv_cb

    clocking mon_cb @(posedge clk);
        default input #setup_time output #hold_time;

input                           addr;

input                           ceb;

input                           web;

input                           wdata;

input                           rdata;
    endclocking : mon_cb

    modport mst (clocking mst_cb);
    modport slv (clocking slv_cb);
    modport mon (clocking mon_cb);

endinterface : sram_if



slimulation log:

top.u_sram_if.mst_cb unknown scope type 650
top.u_sram_if.slv_cb unknown scope type 650
top.u_sram_if.mon_cb unknown scope type 650
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 20:31 , Processed in 0.019707 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表