在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2850|回复: 4

[求助] modelsim 10.1c + debussy 5.4 联调不成功

[复制链接]
发表于 2015-10-25 09:34:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
bat 文件如下


::关闭回显
@ECHO OFF

::设置软件路径

SET debussy=D:\Novas\Debussy\bin\Debussy.exe

SET vsim=D:\modeltech_10.2c\win32\vsim.exe


::ModelSim Command

%vsim% -c -do sim.do

::删除ModelSim生成的相关文件

RD work /s /q

DEL transcript vsim.wlf /q

:ebussy Command

%Debussy% -f list.f -ssf wave.fsdb -2001
::删除波形文件

DEL wave.fsdb /q

::删除Debussy生成的相关文件

RD Debussy.exelog  /s /q
DEL debussy.rc /q


::退出命令行

EXIT


do 文件

vlib work
vmap work work

vlog -f  list.f

#vlog -work work.Counter_Design_TB.v
#vlog -work work.Counter_Design.v

#vsim -wlf work.Counter_Design_TB

vsim  work.Counter_Design_TB

#add wave *
#vsim -lib work Counter_Design_TB
#view wave
#add wave sim:/Counter_Design_TB/*

run 10us

quit -sim


结果

# Modifying modelsim.ini
# Model Technology ModelSim SE vlog 10.2c Compiler 2013.07 Jul 18 2013
# -- Compiling module Counter_Design_TB
# -- Compiling module Counter_Design
#
# Top level modules:
#       Counter_Design_TB
# vsim work.Counter_Design_TB
# Loading D:\Novas\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll
# //  ModelSim SE 10.2c Jul 18 2013
# //
# //  Copyright 1991-2013 Mentor Graphics Corporation
# //  All Rights Reserved.
# //
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
# //
# Loading work.Counter_Design_TB(fast)
# Loading work.Counter_Design(fast)
# Novas FSDB Dumper for ModelSim54, Release 5.4v9 (Win95/NT) 05/04/2005
# Copyright (C) 1996 - 2004 by Novas Software, Inc.
# *Novas* Create FSDB file 'wave.fsdb'
# *Novas* Start dumping the top scope(Counter_Design_TB), layer(0).
# *Novas* End of dumping.
ModelSim>
 楼主| 发表于 2015-10-25 09:54:53 | 显示全部楼层
各位大大们,以前有遇见过吗
 楼主| 发表于 2015-10-25 11:28:55 | 显示全部楼层
已解决
,是do文件最后要用 quit -f
在此特别感谢网友  海洋之心
发表于 2016-6-10 09:48:13 | 显示全部楼层
为啥联合调试没成功呢
发表于 2018-10-8 15:53:18 | 显示全部楼层
谢谢分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 07:40 , Processed in 0.031493 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表