在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9611|回复: 47

[解决] SYNOPSYS VCS 安装过程

[复制链接]
发表于 2015-9-27 13:51:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
升级了win7 64bit以后,旧版本的debussy不能再用了,打算转向VCS+Verdi3平台,对于LINUX新手而言,安装软件的过程十分痛苦,在此过程中偶然发现了网上的一个安装教程,相对于论坛上的教程,步骤更详细一些。 ——该文档版权归原作者所有。 虚拟机Linux系统中安装SYNOPSYS工具图解教程.docx (2.05 MB, 下载次数: 593 )
发表于 2015-9-29 11:08:12 | 显示全部楼层
回复 1# 2008051318

下来看看吧,谢谢了
发表于 2015-10-2 17:24:23 | 显示全部楼层
怎么又没钱了  不知道有没有 下载  谢谢楼组
发表于 2015-10-2 22:35:38 | 显示全部楼层
楼主好心人啊。多谢了
发表于 2015-10-8 22:47:48 | 显示全部楼层
谢谢啦~
发表于 2015-10-9 10:04:06 | 显示全部楼层
回复 1# 2008051318


   大神请问VCS哪里有下载的?多谢!
发表于 2015-10-13 21:25:24 | 显示全部楼层
install3.2版本装vcs1412sp1,弄个.spf 的东西,不知道怎么装了,跟这不一样啊
发表于 2015-10-14 12:09:29 | 显示全部楼层
thnx!
发表于 2015-10-15 23:02:30 | 显示全部楼层
写的很好,谢谢分享
发表于 2015-10-17 22:55:03 | 显示全部楼层
回复 1# 2008051318


    下载了,很有帮助
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 12:25 , Processed in 0.042557 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表