在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: yzt000000

[原创] VCS+UVM+VERDI+Makefile 验证环境

[复制链接]
发表于 2017-2-11 11:28:35 | 显示全部楼层
赞一个
发表于 2017-2-22 11:16:50 | 显示全部楼层
谢谢楼主分享
发表于 2017-2-23 14:25:10 | 显示全部楼层
好资料!谢谢了!
发表于 2017-2-26 07:19:32 | 显示全部楼层
money,good
发表于 2017-2-26 10:05:29 | 显示全部楼层
duoxie duoxie
发表于 2017-3-3 22:52:29 | 显示全部楼层
thnx!
发表于 2017-3-22 15:16:09 | 显示全部楼层
linux下的环境正在搭建呢
发表于 2017-3-22 15:17:02 | 显示全部楼层
希望是详细教程
发表于 2017-3-23 16:50:46 | 显示全部楼层
多谢楼主分享
发表于 2017-3-31 14:41:10 | 显示全部楼层
回复 1# yzt000000
    你好,我想请问一下,我按照你的方法,使用VCS编译过了,也生成了FSDB文件。我把fsdb加到verdi中看波形,但是只能看到tb,dut和interface的信号波形,我想看driver的时序波形看不到,剩下的一些模块加载不到verdi中来,具体情况如下所示:能不能请你帮忙看看,是怎么回事啊?卡在这里好久了...
捕获.PNG
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 08:09 , Processed in 0.035090 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表