在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3807|回复: 11

[求助] 增量编译

[复制链接]
发表于 2015-9-10 16:21:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
设置参数:-Mupdata -Mdir=./csrc
第一次是全部编译2200文件。
第二次编译采用了增量编译,但重新编译的文件也有1800个。

在第二次编译之前 没有做任何修改
但compile log 里面说
This module or some inlined child module(s) has/have been modified.


跑过好多次,重新编译的文件基本都在1800左右。

请问各位大神们,这个病症的原因?
发表于 2015-9-10 18:53:00 | 显示全部楼层
VCS仿真是吧?建议用三步仿真方法(使用vlogan,vhdlan),把文件编译成library
 楼主| 发表于 2015-9-11 09:08:03 | 显示全部楼层
我研究研究你说的方法。
发表于 2015-9-11 13:37:13 | 显示全部楼层
回复 2# luyaker

感谢分享,正愁这个问题呢,回头试试
 楼主| 发表于 2015-9-11 13:56:13 | 显示全部楼层
回复 4# mndzjsjczex


   你的问题和我的问题有相似之处?
 楼主| 发表于 2015-9-14 15:12:09 | 显示全部楼层
但compile log 里面说
This module or some inlined child module(s) has/have been modified.

在vcs里面用什么参数才能看出,到底是那些地方改变了,才导致recompile
发表于 2015-9-16 08:59:10 | 显示全部楼层
本帖最后由 mndzjsjczex 于 2015-9-16 15:09 编辑

回复 5# zlyld

我试了试2楼的方法,我也发现我也有recompile,我怀疑是必要的,因为我vlogan时看log文件里只有parsing什么或者是warning,用vcs编译时才有的recompile,我这里也是比原本的模块数少点,原来大概290+现在大概200+,所以应该没什么问题。
发表于 2015-9-18 10:40:00 | 显示全部楼层
回复 7# mndzjsjczex


   在elaborate里总会有recompile,但是analyze那一步你可以把,之前编译过的库注释掉。例如你把dut编译到一个库里面,然后后面就可以把相应的vlogan和vhdlan注释掉了
发表于 2015-9-18 13:55:08 | 显示全部楼层
回复 8# luyaker

嗯,我确实是你说的那么做的,我写了两个脚本,一个就是vlogan DUT 成一个库,另一个是之后要重复做的编译TB和仿真出波形,第一个脚本只需要执行一次,之后就是选case跑第二个脚本
 楼主| 发表于 2015-9-23 10:53:23 | 显示全部楼层
回复 7# mndzjsjczex


  那感觉增量编译对编译来说没有太多的改善。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 11:25 , Processed in 0.033191 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表