|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
各位高手好:
现在正在做一个程序,可是就有一个错误看不懂,不知道该怎么改,请各位帮帮忙
错误提示为%synPrep-F-internalError,internal error:"width mismatch in relational operator"in eBuildBinaryOp at line 1347 of file readexpr.c.
我的程序是这样的,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ad is
port(clk,oe :in std_logic;
analogin :in std_logic_vector(7 downto 0);
d ut std_logic_vector(7 downto 0));
end ad;
architecture behave of ad is
signal count: INTEGER RANGE 0 TO 2160;
begin
process(clk,oe)
begin
if clk'event and clk='1' then
if oe='1' then
if count>=2129 then
null;
elsif count<=7 then
null;
elsif count<2129 and count>7 then
if count=count-3 then --延时三个周期
d(0)<=analogin(0);
d(1)<=analogin(1);
d(2)<=analogin(2);
d(3)<=analogin(3);
d(4)<=analogin(4);
d(5)<=analogin(5);
d(6)<=analogin(6);
d(7)<=analogin(7);
count<=count-1;
end if;
end if;
end if;
end if;
end PROCESS;
end behave;
是做一个8位的AD |
|