在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4894|回复: 11

[求助] +nospecify ,+notimingcheck 和 +delay_mode_zero之间有什么区别?

[复制链接]
发表于 2015-9-6 19:11:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

关于VCS的众多选项,常用的就那么几个,但是有几个还是不太分得清区别的,求大神指点


+
nospecify ,+notimingcheck 和 +delay_mode_zero之间有什么区别

发表于 2015-9-7 11:23:02 | 显示全部楼层
同问~~~
发表于 2015-9-7 20:13:19 | 显示全部楼层
回复 1# logue

简单一个与非门为例
module ND(X,A1,A2)
   output X ;
   input A1,A2 ;
   specify
     (A1 => X) = 50 ;
     (A2 => X) = 50 ;
   endspecify
endmodule
在标准单元中,定义输入A1到输出X的路径延时为50 time unit。

+nospeicy:vcs不加入50 time unit的延时

+delay_mode_zero:将标准单元中定义的延时替换为0

+notimingcheck :时序检查开关,比如setup/hold/width检查等等,如使用开关,则仿真时不检查时序,如后仿真,时序检查不满足,可能导致X扩散。
 楼主| 发表于 2015-9-8 21:02:28 | 显示全部楼层
回复 3# mrtang1983


    我明白了,说得很详细,非常感谢!
发表于 2015-10-26 23:33:10 | 显示全部楼层
学习了
发表于 2015-10-26 23:34:35 | 显示全部楼层
vcs参数太多了
发表于 2019-1-24 15:16:17 | 显示全部楼层
回复 1# logue


   实践的时候,发现这三个选项不能一起用,会有问题,我没有搞懂nospecify和 delay_mode_zero有什么本质区别,都是是cell的delay为0
发表于 2019-1-30 20:11:44 | 显示全部楼层
谢谢你
发表于 2024-1-5 10:21:34 | 显示全部楼层


这个问题解决了嘛?同问同问,应该选择哪个?
发表于 2024-1-15 14:57:33 | 显示全部楼层
学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 17:25 , Processed in 0.034708 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表