在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1510|回复: 6

[求助] DC综合的约束是根据什么添加的

[复制链接]
发表于 2015-7-15 10:03:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在做综合时的一些约束,虽然知道是什么意思,但不知道应该设为什么值,为什么要这样设。例如set_input_delay,set_output_delay,set_driving_cell等这些,之前都是按照别人的脚本修改的,但还是不知道要按一个什么标准来设置值。请指点迷津!
发表于 2015-7-15 16:30:50 | 显示全部楼层
同问,帮顶
发表于 2015-7-15 16:36:59 | 显示全部楼层
请查看DC的student guider,上面有讲
发表于 2015-7-15 16:40:13 | 显示全部楼层
具体看约束那部分

Synopsis DC.pdf

4.06 MB, 下载次数: 39 , 下载积分: 资产 -3 信元, 下载支出 3 信元

发表于 2015-7-16 10:33:30 | 显示全部楼层
设计的约束
发表于 2015-7-16 16:58:54 | 显示全部楼层
感觉这些约束的作用比较小 ,主要还是在做PR的时候加这些约束的
 楼主| 发表于 2015-7-22 10:32:02 | 显示全部楼层
谢谢。。。感觉在学校里做的话,也就是约束个大概吧,等到真正做项目的时候,应该会有更精确的要求。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 07:05 , Processed in 0.025160 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表