马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
Library ieee;
use ieee.std_logic_1164.all;
entity mux41 is
Port(
input :in std_logic_vector(3 downto 0);
sel:in std_logic_vector(1 downto 0);
Yut std_logic);
End mux41;
ARCHITECTURE rtl OF mux41 IS
BEGIN
PROCESS(input,sel)
BEGIN
IF(sel="00")THEN
Y<=input(0);
ELSIF (sel=“01”)THEN
Y<=input(1);
ELSIF(sel=“10”)THEN
Y<=input(2);
ELSE
Y<=input(3);
END IF;
END PROCESS;
END rtl;
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Mux41 -c Mux41
Warning (20028): Parallel compilation is not licensed and has been disabled
Error (10500): VHDL syntax error at Mux41.vhd(5) near text ¼
Error (10500): VHDL syntax error at Mux41.vhd(5) near text "¼"; expecting ")", or ","
Error (10500): VHDL syntax error at Mux41.vhd(5) near text ‰
Error (10500): VHDL syntax error at Mux41.vhd(5) near text ›
Error (10523): Ignored construct mux41 at Mux41.vhd(3) due to previous errors
Error (10500): VHDL syntax error at Mux41.vhd(12) near text ¼
Error (10500): VHDL syntax error at Mux41.vhd(12) near text "¼"; expecting ")"
Error (10500): VHDL syntax error at Mux41.vhd(12) near text Œ
Error (10500): VHDL syntax error at Mux41.vhd(16) near text €
Error (10500): VHDL syntax error at Mux41.vhd(16) near text "€"; expecting ")", or ","
Error (10500): VHDL syntax error at Mux41.vhd(16) near text œ
Error (10500): VHDL syntax error at Mux41.vhd(16) near text 
Error (10500): VHDL syntax error at Mux41.vhd(18) near text €
Error (10500): VHDL syntax error at Mux41.vhd(18) near text "€"; expecting ")", or ","
Error (10500): VHDL syntax error at Mux41.vhd(18) near text œ
Error (10500): VHDL syntax error at Mux41.vhd(18) near text 
Info (12021): Found 0 design units, including 0 entities, in source file mux41.vhd
Warning (12019): Can't analyze file -- file Vhdl2.vhd is missing
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 16 errors, 2 warnings
Error: Peak virtual memory: 380 megabytes
Error: Processing ended: Tue Jun 30 23:45:11 2015
Error: Elapsed time: 00:00:01
Error: Total CPU time (on all processors): 00:00:01
Error (293001): Quartus II Full Compilation was unsuccessful. 18 errors, 2 warnings
求指教啊 |