在线咨询 切换到宽版
eetop公众号 创芯大讲堂 创芯人才网

 找回密码
 注册

手机号码,快捷登录

手机号码,快捷登录

搜全文
查看: 3664|回复: 4

[求助] VHDL求助

[复制链接]
发表于 2015-6-30 23:47:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

×
Library  ieee;
use ieee.std_logic_1164.all;
entity mux41 is
       Port(
             input :in std_logic_vector(3 downto 0);
             sel:in std_logic_vector(1 downto 0);
             Yut std_logic);
End mux41;

ARCHITECTURE rtl OF mux41 IS
     BEGIN
PROCESS(input,sel)
BEGIN
IF(sel="00")THEN
          Y<=input(0);
ELSIF (sel=“01”)THEN
          Y<=input(1);
ELSIF(sel=“10”)THEN
          Y<=input(2);
ELSE
          Y<=input(3);
END IF;
END PROCESS;
END rtl;
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Mux41 -c Mux41
Warning (20028): Parallel compilation is not licensed and has been disabled
Error (10500): VHDL syntax error at Mux41.vhd(5) near text &#188;
Error (10500): VHDL syntax error at Mux41.vhd(5) near text "&#188;";  expecting ")", or ","
Error (10500): VHDL syntax error at Mux41.vhd(5) near text &#137;
Error (10500): VHDL syntax error at Mux41.vhd(5) near text &#155;
Error (10523): Ignored construct mux41 at Mux41.vhd(3) due to previous errors
Error (10500): VHDL syntax error at Mux41.vhd(12) near text &#188;
Error (10500): VHDL syntax error at Mux41.vhd(12) near text "&#188;";  expecting ")"
Error (10500): VHDL syntax error at Mux41.vhd(12) near text &#140;
Error (10500): VHDL syntax error at Mux41.vhd(16) near text &#128;
Error (10500): VHDL syntax error at Mux41.vhd(16) near text "&#128;";  expecting ")", or ","
Error (10500): VHDL syntax error at Mux41.vhd(16) near text &#156;
Error (10500): VHDL syntax error at Mux41.vhd(16) near text &#157;
Error (10500): VHDL syntax error at Mux41.vhd(18) near text &#128;
Error (10500): VHDL syntax error at Mux41.vhd(18) near text "&#128;";  expecting ")", or ","
Error (10500): VHDL syntax error at Mux41.vhd(18) near text &#156;
Error (10500): VHDL syntax error at Mux41.vhd(18) near text &#157;
Info (12021): Found 0 design units, including 0 entities, in source file mux41.vhd
Warning (12019): Can't analyze file -- file Vhdl2.vhd is missing
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 16 errors, 2 warnings

Error: Peak virtual memory: 380 megabytes

Error: Processing ended: Tue Jun 30 23:45:11 2015

Error: Elapsed time: 00:00:01

Error: Total CPU time (on all processors): 00:00:01
Error (293001): Quartus II Full Compilation was unsuccessful. 18 errors, 2 warnings

求指教啊
发表于 2015-7-1 08:46:00 | 显示全部楼层
你的分号格式有没有问题?
回复 支持 反对

使用道具 举报

发表于 2015-7-1 10:23:27 | 显示全部楼层
本帖最后由 加油99 于 2015-7-1 10:52 编辑

目测是全角字符(中文字符)问题:
第5行。")"应该改为")".分号同样错了。
未命名.PNG
第12行同样的问题。","应该改为","
......
回复 支持 反对

使用道具 举报

发表于 2015-7-1 20:58:53 | 显示全部楼层
中英文不分
回复 支持 反对

使用道具 举报

 楼主| 发表于 2015-7-1 23:09:32 | 显示全部楼层
谢谢大家了,解决了哈哈
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

X

手机版| 小黑屋| 关于我们| 联系我们| 用户协议&隐私声明| 版权投诉通道| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 ) |网站地图

GMT+8, 2025-12-26 03:33 , Processed in 0.018947 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表