在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3640|回复: 1

求助:大侠看看下面的时钟为何仿真输出总为低呢?

[复制链接]
发表于 2007-5-1 08:52:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我是菜鸟新。下面是产生的代码。在QUARTUS 仿真输出。

`timescale 1ns/1ps
module prj(vav);
output vav;
reg vav;
event end_vave;
parameter delay=5;
initial begin

     vav=1;
#delay vav=0;
#delay vav=1;
#delay vav=0;
#delay vav=1;
#delay ->end_vave;

  end
  endmodule

[ 本帖最后由 xooo 于 2007-5-2 09:47 编辑 ]
发表于 2007-5-3 12:50:30 | 显示全部楼层
你的描述只能输出两个脉冲

`timescale 1ns/1ps
module prj(vav);
output vav;
reg vav;

parameter delay=5;
initial begin

     vav=1;
forever
begin
#delay vav=0;
#delay vav=1;
#delay vav=0;
#delay vav=1;
end
  end
  endmodule
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-15 01:23 , Processed in 0.034457 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表