在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2982|回复: 10

[讨论] hold violation太大,不知道如何解决?

[复制链接]
发表于 2015-3-30 10:04:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
QQ图片20150330095812.jpg QQ图片20150330095804.jpg QQ图片20150330095755.jpg
发表于 2015-3-30 11:00:01 | 显示全部楼层
这应该是假的
发表于 2015-3-30 11:01:42 | 显示全部楼层
看看你的hold margain 是多少。

某些变态的fab 是 50ps +OCV,hold 很难修的。
发表于 2015-3-30 11:03:07 | 显示全部楼层
50ns hold 应该是false path 没设置对。
 楼主| 发表于 2015-3-30 11:04:08 | 显示全部楼层
回复 2# icfbicfb


   非常感谢! 怎么判断这条路径是假的?
 楼主| 发表于 2015-3-30 11:07:52 | 显示全部楼层
回复 4# papertiger

如何判断这条路径是false_path啊?因为我问了前端人员,说这条路径有通过选择器来选择过!
 楼主| 发表于 2015-3-30 11:10:12 | 显示全部楼层
回复 3# papertiger


   hold violation 达到了50ns,但是设置为false——path后,report不会再报出该路径的违规,但是我不知道究竟要不要设为false——path?
发表于 2015-3-30 11:36:10 | 显示全部楼层
查查以前的帖子,好像也可以设exclusive_logic 什么的
发表于 2015-3-30 14:38:58 | 显示全部楼层
PLLCK_MUX和I2S_div_PLLCK是同一个时钟域的吗?感觉是这个应该是false_path
发表于 2015-3-30 16:44:48 | 显示全部楼层
不是intentional的 clock gating check,多半是false的,而且是2个clock之间,那么大的,还修啥
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 20:37 , Processed in 0.041188 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表