在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2489|回复: 2

[求助] UVM实战第一章例程在modelsim SE 10.0c 进行编译不过去啊出现错误,

[复制链接]
发表于 2015-3-5 10:15:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
对UVM实战第一章例程在modelsim SE 10.0c 进行编译不过去啊出现如下错误


modsim>vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF f:/sv/eetop.cn_modelsim/top.sv
# Model Technology ModelSim SE vlog 10.0c Compiler 2011.07 Jul 21 2011
# -- Compiling module dut
# -- Compiling interface my_if
# -- Compiling package top_sv_unit
# -- Importing package mtiUvm.uvm_pkg (uvm-1.0p1 Built-in)
# ** Error: my_transaction.sv(19): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_transaction.sv(19): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_transaction.sv(19): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_transaction.sv(19): Field/method name (m_uvm_cycle_scopes) not in '__m_uvm_status_container'
# ** Error: my_agent.sv(22): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_transaction.sv(19): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_agent.sv(22): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_agent.sv(22): Field/method name (m_uvm_cycle_scopes) not in '__m_uvm_status_container'
# ** Error: my_sequence.sv(16): Field/method name (do_not_randomize) not in '__seq'
# -- Compiling interface my_if
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_uvm_cycle_scopes) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_uvm_cycle_scopes) not in '__m_uvm_status_container'
# ** Error: Field/method name (do_not_randomize) not in '__seq'
# -- Compiling module top
# D:/FPGA/modeltech_10.0c/win32/vlog failed.
发表于 2015-4-1 23:25:26 | 显示全部楼层
用的uvm库不一样吧
发表于 2016-4-27 22:25:39 | 显示全部楼层
top里面要有这几句话:
`include "uvm_pkg.sv"  ---(1)
module top_tb;
import uvm_pkg::*;      ---(2)
`include "uvm_macros.svh"   ---(3)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 15:04 , Processed in 0.022359 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表