在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1799|回复: 3

[求助] system verilog 采用modelsim编译类问题

[复制链接]
发表于 2015-3-4 15:42:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
刚学习SV,自己按照教材搭建了一个仿真平台。之前遇到了一个问题,就是两个类在两个SV文件中(A.sv   B.sv),跨文件相互引用声名时(A中用了B类),Modelsim总是认为类没有定义。后来采用"include B.sv"放在A.sv开头,这个问题就解决了。于是我把所有的跨文件引用的类都加了include,结果这回总有几个文件报错,说Typedef 'XXX' multiply defined。请问这是怎么回事?是我代码的问题还是别的什么原因导致的?
发表于 2015-3-4 17:04:52 | 显示全部楼层
typedef A class
发表于 2015-3-5 12:53:38 | 显示全部楼层
你改成包,在定义的文件中,加上ifndef XXX, 然后 def XXX, endif
,加在你要设计的文件中,这样就不会重定义,你最好保证不要重定义
发表于 2015-3-12 16:44:23 | 显示全部楼层
回复 3# lylchmm


   同上
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 04:22 , Processed in 0.024716 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表