在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4943|回复: 6

[求助] 请教System Verilog中的结构体要怎么使用才可以看波形

[复制链接]
发表于 2015-1-26 15:13:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题,使用了System verilog中的结构体,在verdi中无法看到波形,想请教下用什么方法才能看到结构体的波形
发表于 2015-1-26 16:45:13 | 显示全部楼层
应该是看不了的,你可以把里面的数字型的变量赋给interface
发表于 2015-1-26 16:49:14 | 显示全部楼层
同问 同问
发表于 2015-1-27 13:56:09 | 显示全部楼层
应该是有选项的 verdi
发表于 2015-1-27 21:03:15 | 显示全部楼层
如果用vcs的话,记得VPD的波形是可以做到的。具体的没有使用过。也不太建议这么用,我担心这个波形dump出来会太大了。
不过可以使用-gui界面实现单步debug来达到这个目的,这个不需要调整dump波形的方法。看情况你是要对环境进行debug,这个时候可以考虑使用单步的方式来进行。vcs 的dve对UVM还有VMM的友好程度要大于verdi。
 楼主| 发表于 2015-2-5 17:11:43 | 显示全部楼层
回复 5# dtyuzhou

目前仿真用得是Vcs 2011,之前是可以看到结构体波形的;奇怪的是在声明了(包含仅是声明不使用的情况)一个这个 结构体类型 的 队列 后,所有用这个结构体定义的变量都无法看到波形了,是不是Vcs会有选项来解决这种问题?
 楼主| 发表于 2015-2-11 09:16:40 | 显示全部楼层
想到一个笨方法:声明一个和原结构体相同的新结构体类型,然后在想看结构体波形时使用 >> 流操作 将其内容移植到新结构体的对象中。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 02:34 , Processed in 0.035981 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表