在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2225|回复: 0

[求助] 在windows xp下使用批处理命令,报错求助

[复制链接]
发表于 2015-1-23 10:40:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
写了一个批处理命令,modelsim后台仿真,调用debussy打开波形文件:

@echo off
echo vsim +notimingchecks -pli novas_fli.dll -L simprims_ver -sdfmax /uut=../prj/netgen/par/top_timesim.sdf rx_tb glbl>test.do
echo run 10us>>test.do
echo quit -sim>>test.do
echo quit>>test.do
vlib work
vmap work work
vlib simprims_ver
vmap simprims_ver $XILINX/verilog/mti_se/simprims_ver
vlog -nologo ../prj/netgen/par/top_timesim.v
vlog -nologo rx_tb.v
vlog -nologo glbl.v
vsim -c -do test.do
echo ******************
echo SIMULATION END!!!
echo ******************
rmdir /s/q work
rmdir /s/q simprims_ver
if exist *.wlf del *.wlf
if exist modelsim.ini del modelsim.ini
del test.do
tasklist |find /i "Debussy.exe"  
if %errorlevel% NEQ 0 (%Debussy% -nWave -ssf rx_tb.fsdb & )

最后打开debussy的时候,报错:此时不应有),请问怎么修改?我对批处理不太懂,谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-4-19 19:57 , Processed in 0.018455 second(s), 10 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表