在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2005|回复: 3

[求助] 单单写了一个PLL_SP,综合是可以的,但是map时候报错了

[复制链接]
发表于 2014-12-23 10:07:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
ERRORlace:1205 - This design contains a global buffer instance,
   <DT_CLK0_OUT_BUFG>, driving the net, <DT_CLK0_OUT_BUFG>, that is driving the
   following (first 30) non-clock load pins off chip.
   < PIN: DT_CLK0_OUT1.O; >
   This design practice, in Spartan-6, can lead to an unroutable situation due
   to limitations in the global routing. If the design does route there may be
   excessive delay or skew on this net. It is recommended to use a Clock
   Forwarding technique to create a reliable and repeatable low skew solution:
   instantiate an ODDR2 component; tie the .D0 pin to Logic1; tie the .D1 pin to
   Logic0; tie the clock net to be forwarded to .C0; tie the inverted clock to
   .C1. If you wish to override this recommendation, you may use the
   CLOCK_DEDICATED_ROUTE constraint (given below) in the .ucf file to demote
   this message to a WARNING and allow your design to continue. Although the net
   may still not route, you will be able to analyze the failure in FPGA_Editor.
   < PIN "DT_CLK0_OUT_BUFG.O" CLOCK_DEDICATED_ROUTE = FALSE; >
360截图20141223100534080.jpg
 楼主| 发表于 2014-12-23 10:30:38 | 显示全部楼层
查了一下,好像是经过bufg的时钟不能直接输到IO口,要先经过ODDR2,请问这个ODDR2是什么,应该不是内存里面讲的DDR2,DDR3吧。。。
发表于 2014-12-23 11:54:20 | 显示全部楼层
PIN "DT_CLK0_OUT_BUFG.O" CLOCK_DEDICATED_ROUTE = FALSE;

这句添加到UCF文件里面去。
 楼主| 发表于 2014-12-23 17:42:57 | 显示全部楼层
回复 3# woshioc

我试了一下,在bufg后面添加一个ODDR2是可以解决问题的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 18:39 , Processed in 0.029371 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表