在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1696|回复: 1

[讨论] 讨论一下关于FPGA除法器的实现

[复制链接]
发表于 2014-12-11 15:02:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有没有TX上传一点相关的资料啊?
发表于 2014-12-11 22:22:05 | 显示全部楼层
本帖最后由 加油99 于 2014-12-11 22:37 编辑

恢复余数法,不恢复余数法。百度一下,相关资料比较多。
最难的是SRT算法。基-16的一次可上4位商。CPU中常采用SRT算法。资料比较少,百度一下“SRT除法器”。
SRT算法难点是商选择(Quotient Digit Selection).
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 05:25 , Processed in 0.021708 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表