在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10175|回复: 6

[求助] Systemverilog interface与clocking定义的问题

[复制链接]
发表于 2014-12-5 16:30:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

interface bus_A (input clk);//定义interface的外部接口

logic [15:0] data;

logic write;

modport test (input data, output write);

modport dut (output data, input write);

endinterface


interface bus_B (input clk);//定义interface的外部接口

logic [8:1] cmd;

logic enable;

modport test (input enable);

modport dut (output enable);

endinterface

program test( bus_A.testa, bus_B.test b );//例化interface分别为a,b

clocking cd1 @(posedge a.clk);(声明时钟块,其中的信号是此时钟域里的同步信号)

input a.data;

output a.write;

inout state = top.cpu.state;

endclocking

clocking cd2 @(posedge b.clk);

input #2 output #4psb.cmd;// ?(这一句是什么意思?有谁能给我解释一下吗?)

input b.enable;

endclocking


initialbegin
// program begins here
...
// user can access cd1.a.data , cd2.b.cmd , etc…
end
endprogram

The testmodule can be instantiated and connected as before:
module top;

logic phi1, phi2;

bus_A a(phi1);

bus_B b(phi2);

test main( a, b );

cpu cpu1( a );

mem mem1( b );

endmodule



红色的部分是我不太明白的,有谁能给我点启发吗?谢谢。

发表于 2014-12-5 16:52:08 | 显示全部楼层
输入输出采样点。
 楼主| 发表于 2014-12-9 09:39:23 | 显示全部楼层
回复 2# A1985
能不能简单给我解释一下?psb是什么意思?
整句的意思是两个周期采样input,再过四个周期采样output?
谢谢!
发表于 2014-12-9 17:58:47 | 显示全部楼层
input #2 output #4psb.cmd;
发表于 2014-12-9 18:01:43 | 显示全部楼层
input #2 output #4psb.cmd;
这是设置输入输出歪斜(skews)的,#2和#4不是代表周期,是timescale中设置的时间单位;
代表采样和驱动的时间。
发表于 2014-12-11 19:55:59 | 显示全部楼层
回复 1# liyandong1216


    4psb.cmd是不是4ps b.cmd?是的话可以理解了
发表于 2014-12-12 15:53:01 | 显示全部楼层
回复 6# grow


   对的,原句就是“input #2 output #4ps cmd=b.cmd”
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 21:29 , Processed in 0.022677 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表