在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1967|回复: 3

[求助] 求助: UVM virtual sequence里面可以创建uvm_object吗?

[复制链接]
发表于 2014-11-19 11:48:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
uvm_object class如下:
class my_data extends uvm_object;
  rand bit [7:0] data[];
  rand bit [31:0] data_length;
  `uvm_object_utils(my_data)
endclass

virtual sequence 如下:
class my_sequence extends uvm_sequence;
  ...
  task my_sequence::collect_data();
    my_data data_item;
    data_item = my_data::type_id::create("data_item")
    ...
   endtask
endclass

ncverilog编译的时候报错,my_data data_item需要有一个'=' or '<='

我这个例子有点特殊,只需要传递my_data到scoreboard, 所以不需要定义为transaction
不知道大家有没有遇到类似的状况?
发表于 2014-11-19 20:32:27 | 显示全部楼层
这个collect_data的task应该是extern的吧,怎么放到endclass里面了?
 楼主| 发表于 2014-11-20 10:09:27 | 显示全部楼层
回复 2# acgoal

打错了,应该是:
class my_sequence extends uvm_sequence;
  ...endclass
  task my_sequence::collect_data();
    my_data data_item;
    data_item = my_data::type_id::create("data_item")
    ...
   endtask

我试了一下,如果将my_data data_item 定义为my_sequence的一个成员,
在new() function里创建就是ok的。

但是如果在task里创建就会有问题。
因为我只需要在这个task动态创建,然后通过analysis_port write到scoreboard。
不知道这样是否是合法的?
发表于 2014-11-20 12:49:30 | 显示全部楼层
你的my_data class里加个new函数吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 00:38 , Processed in 0.025713 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表