在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3195|回复: 1

[求助] DC综合中 读入文件问题 read_verilog

[复制链接]
发表于 2014-11-10 14:21:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
两个问题 2.jpg

第一,如上所示,current_design $module 这句话是什么作用
第二,read_verilog ./code/$module.2.v  这句话不是读入verilog文件嘛,但是我的code文件夹里的 .v文件没有module.2.v啊,这是怎么回事?
1.jpg

这是别人的小项目,我在学习不太懂,望高手解答
发表于 2016-9-5 10:54:39 | 显示全部楼层
$module是一个参数,这个参数要定义了才能使用,比如set module A,下次使用$module就用A替换之。同理module.2
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 23:54 , Processed in 0.021881 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表