在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6521|回复: 3

[求助] 综合veriog问题请教"signed to unsigned conversion occurs"

[复制链接]
发表于 2014-10-21 05:14:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 arch_devil 于 2014-10-21 05:16 编辑

各位好,

在下用Design Compiler综合verilog代码的时候总遇到一些warning,想请教各位是怎么回事,十分感谢,代码和具体信息如下:

module PartialProdGen(out, A, B);    parameter BITWIDTH = 6'b010000;
    input [BITWIDTH - 1 : 0] A, B;
    output [BITWIDTH * BITWIDTH - 1 : 0] out;
    wire [BITWIDTH * BITWIDTH - 1 : 0] out;
    generate
     genvar i, j;
    for(i = 0; i < BITWIDTH; i = i + 1)
    begin: gen_1
        for(j = 0; j < BITWIDTH; j = j + 1)
        begin: gen_2
            assign out[BITWIDTH * i + j] = A[j] & B;
        end                                                                                   ------------16行
    end                                                                                       ------------17行
    endgenerateendmodule

Warning:  Starting with the 2000.11-1 release, the Presto Verilog reader treats Verilog 'integer' types as signed; synthesized result may not match earlier versions of hdl Compiler. (VER-314)
Warning:  /homes/mccc/DV_WORK4/source_code/PartialProdGen.v:17: signed to unsigned conversion occurs. (VER-318)
Warning:  /homes/mccc/DV_WORK4/source_code/PartialProdGen.v:16: signed to unsigned conversion occurs. (VER-318)
发表于 2017-6-15 10:09:35 | 显示全部楼层
第15行错了,1bit=1bit(按位异或)多bits,这样是错误的
发表于 2017-6-15 10:10:25 | 显示全部楼层
回复 2# 阿盟爱自由
按位与,写错了,不要在意这些细节
发表于 2017-6-15 22:38:55 | 显示全部楼层
signed 类型的数据转换成了unsigned, 应该是两中类型的信号混用了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 13:26 , Processed in 0.023814 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表