在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11775|回复: 21

[讨论] icc和pt中operating condition的设置

[复制链接]
发表于 2014-9-5 10:13:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
脚本范本
set search_path "$ss_lib_path $ff_lib_path"
set target_library "ss.db"
set link_library "* $target_library"


set_operating_condition -max ss_condition -max_lib ss \
      -min ff_condition -min_lib ff \
     -analysis_type ocv

set_min_library ss.db -min_version ff.db

问题:
1. 这种设置方法没有在link_library中加入ff.db,工具在ocv分析setup时,data lanch path会用ss的计算,data capture path会用ff计算,但这实在太悲观了吧?
2. 我理解的ocv应该是用timing_derate设置下,且计算setup时,都用ss.db库。但是data_capture path会乘上这个timing_derate(如0.8),但是data_lanch path不变(也就是timing derate设置了1)。
3. 如果要做到2中的这点,并且工具也要能够分析类似的hold问题,脚本里面对库是否还可以用上述的设置?
发表于 2014-9-5 13:32:27 | 显示全部楼层
ocv了,就不要-min/-max了,  这是矛盾的,

-min/-max仅用于bc-wc模式,
 楼主| 发表于 2014-9-5 13:54:55 | 显示全部楼层
回复 2# icfbicfb


那如果希望使用ocv进行设计的话脚本应该如何写才行呢?
我的目标是希望icc能够做到on_chip_variation,然后同时能够对setup和hold都做好。

这样的目标下,operating condition里面要写什么?以及set_min_library需要么?
 楼主| 发表于 2014-9-5 16:19:44 | 显示全部楼层
回复 2# icfbicfb


   

pt ug对ocv的描述

pt ug对ocv的描述

pt对ocv的解释是不是说,在setup时用max delay做lanch,用min delay做capture?
我就是感觉这个分析方法太悲观了。。。
发表于 2014-9-5 16:34:27 | 显示全部楼层
mcmm,

请抛弃bc-wc ,

create_scenario  func_max
set_operating_conditions max_opcond -analaysis_mode on_chip_variation
source func_max.sdc
set_tlu_plus_files -max _tluplus

create_scenario func_min
set_operating_conditions min_opcond -analaysis_mode on_chip_variation
source func_max.sdc
set_tlu_plus_files -max _tluplus

set_min_library 只适应在bc-wc mode,在mcmm下淘汰了
 楼主| 发表于 2014-9-5 20:46:42 | 显示全部楼层
本帖最后由 AveryYoung 于 2014-9-5 21:58 编辑

回复 5# icfbicfb


   讨论仅在bc_wc模式的一个测试现象脚本如下


set search_path "$ss_lib_path $ff_lib_path"
set target_library "ss.db"
set link_library "* $target_library" ; 我没有加上ff lib,这是ug上特地说的:"如果需要使用set_min_library命令,就不能在link library中加入ff lib”。
set_operating_condition -max ss_condition -max_lib ss \
      -min ff_condition -min_lib ff \
     -analysis_type bc_wc

set_min_library ss.db -min_version ff.db

1.如果不加set_min_library,那么设计报告的report_timing -delay min的结果和仅仅用max_lib报出的得出的时序结果一样。但是在timing报告里面居然说它用的是ff的lib,和ff的condition。
2.如果加上了set_min_library,那么设计报告出的report_timing -delay min的结果要比上述的时序更快,说明icc用了min库。


请问上述问题的原因?补充,我看到10年的iccug上面说,如果使用bc_wc模式的话,link_library中指定的库会用于max和min path的计算,但是link_libray如果设置成“* $target_maxlib $min_lib”,那么工具会怎么处理这个问题?
发表于 2014-9-6 07:59:30 | 显示全部楼层
你老和我来bc-wc,set_min_library ,我也受不了,多少年不用这个命令了,

赶紧mcmm吧
 楼主| 发表于 2014-9-22 10:53:35 | 显示全部楼层
本帖最后由 AveryYoung 于 2014-9-22 11:15 编辑

回复 7# icfbicfb


   版主,我在mcmm的情况有些疑问。
1、在mcmm情况下每个scenario中是不是要对target_library和link_library都定义一次各个scenario的lib?tluplus file也是同理?另外,mcmm应该是先把design读取进来才设置么?那么在读design的时候也要先定义一次target_library和link_library,不然报告找不到库之类的error
发表于 2014-9-23 16:18:50 | 显示全部楼层
其实单库,bcwc 还有ocv都行,只不过ocv更精确些!
用的时候可以统一把所有scenario需要的库设置好!后面设置不同的分析条件!Guide上讲解很详细的!
发表于 2014-9-25 21:57:45 | 显示全部楼层
回复 8# AveryYoung


LZ   mmcm的情况下operating condition到底怎么设置的呢?解决了吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 05:29 , Processed in 0.032920 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表