在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2174|回复: 2

[求助] 综合时为何总提示Input XXX is unused呢

[复制链接]
发表于 2014-9-4 23:29:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x




  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.std_logic_unsigned.all;

  4. entity save is
  5. port(
  6.         frame:in std_logic;                --高电平时,存储
  7.         wrsig:in std_logic;                --上升沿时,到来一个字节的数据
  8.         datain:in std_logic_vector(7 downto 0)
  9. );

  10. end entity save;

  11. architecture behav of save is

  12.         constant N:integer:=51;
  13.         subtype byts is std_logic_vector(7 downto 0);
  14.         type  memory is array(0 to N-1) of byts;
  15.         
  16.         signal        data_mem:memory;
  17.         
  18.         begin
  19.         process (frame,wrsig)
  20.            variable cnt:integer range 0 to 50;
  21.           begin
  22.                 if frame='0' then
  23.                         cnt:=0;
  24.                 elsif rising_edge(wrsig) then
  25.                         if cnt<51 then
  26.                          data_mem(cnt)<=data_in;
  27.                         end if;
  28.                 end if;
  29.         end process;
  30. end behav;



复制代码

为什么综合时总是提示说Input is unused,三个输入都是unused
发表于 2014-9-5 15:23:37 | 显示全部楼层
因为你的模块没有输出信号,将所有的信号都给优化掉了
发表于 2014-9-7 09:05:31 | 显示全部楼层
学习学习看看看看
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 02:33 , Processed in 0.024529 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表