在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: misrig001

[求助] 关于过两级mux的时序约束的添加

[复制链接]
发表于 2020-6-30 11:29:08 | 显示全部楼层


受教了,关于第二种写法
当然也可以采用如下写法:
create_generated_clock -divide_by 1 -name clky_1 -source [get_ports clkx] [get_pins MUX1/clky]
create_generated_clock -divide_by 2 -name clky_2 -source [get_ports clkx] [get_pins MUX1/clky] -add
create_generated_clock -divide_by 3 -name clky_3 -source [get_ports clkx] [get_pins MUX1/clky] -add
create_generated_clock -divide_by 4 -name clky_4 -source [get_ports clkx] [get_pins MUX1/clky] -add
set_clock_group -physically_exclusive -group clky_1 -group clky_2 -group clky_3 -group clky_4

create_generated_clock -divide_by 1 -name clkz_1 -source [get_pins MUX1/clky] [get_pins MUX2/clkz]
create_generated_clock -divide_by 2 -name clkz_2 -source [get_pins MUX1/clky] [get_pins MUX2/clkz] -add
create_generated_clock -divide_by 4 -name clkz_4 -source [get_pins MUX1/clky] [get_pins MUX2/clkz] -add
create_generated_clock -divide_by 8 -name clkz_8 -source [get_pins MUX1/clky] [get_pins MUX2/clkz] -add


说点个人理解,不对请指正。不创建clkx的分频时钟,在timing check的时候 会选不同的clock source 路径,除非clk div 的clock
tree 非常器,否则timing会有问题。感觉还是第一种写法稳妥些
发表于 2022-3-10 14:28:42 | 显示全部楼层
请教一下,图中如果clkz是输出时钟,按照这种约束方法,产生了很多个时钟clkz_*,output delay要怎么约束?
例如set_output_delay -add_delay 2.0 -clock [get_clocks clock_name] clock_name应该是哪一个时钟呢?
发表于 2022-3-19 13:30:46 | 显示全部楼层
純分享, 希望有幫助

ASIC中时钟MUX电路结构时序约束的方法分析_许立明.pdf

823.15 KB, 下载次数: 90 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2022-3-30 20:01:49 | 显示全部楼层
多谢分享
发表于 2022-4-22 10:17:18 | 显示全部楼层


fangwang85 发表于 2020-6-30 11:29
受教了,关于第二种写法
当然也可以采用如下写法:
create_generated_clock -divide_by 1 -name clky_1 - ...


时序约束小白,请教一下,generate的clock能作为另一个generate clock的source clock吗
发表于 2022-9-9 10:50:49 | 显示全部楼层


浩然若枫 发表于 2022-4-22 10:17
时序约束小白,请教一下,generate的clock能作为另一个generate clock的source clock吗
...


可以的

发表于 2022-9-9 11:27:28 | 显示全部楼层
应该是一个时钟
发表于 2022-9-9 13:53:59 | 显示全部楼层

多谢分享
发表于 2023-1-19 15:39:24 | 显示全部楼层



第一种方法中create_generated_clock -divide_by 1 -name clky_div1 -source [get_pins MUX1/clky] [get_pins freq_divB/clky_div1]

在MUX1/clky上其实有很多clock,如果不指定master_clock,它这里-divide_by是以哪个时钟去计算周期呢?

发表于 2023-2-15 18:12:22 | 显示全部楼层
帮棒
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 14:19 , Processed in 0.034576 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表