在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2355|回复: 4

[求助] Verilog中有没有直接截位的函数啊

[复制链接]
发表于 2014-8-14 14:32:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 上官紫虞 于 2014-8-15 16:17 编辑

浮点数中将小数位进行截位,怎么截位啊!各位大神帮帮忙
发表于 2014-8-15 22:02:27 | 显示全部楼层
比如你小数位是后5位,直接取后5位就行吧!“寄存器名【4:0】”用就可以!
 楼主| 发表于 2014-8-18 09:42:03 | 显示全部楼层
回复 2# yb340617158


   不需要做任何处理吗?如果我只要整数位,是不是直接将小数位丢弃即可?
发表于 2014-8-18 16:34:09 | 显示全部楼层
回复 1# 上官紫虞


   没函数,自己写,很少对浮点处理。一般都定点化,真要处理浮点,你先看看ieee754浮点数的表示
 楼主| 发表于 2014-8-19 13:08:47 | 显示全部楼层
回复 4# wgej1987


   谢谢!非常感谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 04:31 , Processed in 0.031093 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表