在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8138|回复: 11

[求助] 跪求助,关于ISE 调用modelsim

[复制链接]
发表于 2014-7-31 10:39:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
试了很多篇,百度了很多次,都解决不了,求大神帮忙。不胜感激情况:64位win7的环境下  ISE14.5+modelsimSE10.2c 2个都破解成功并可以单独运行,当我在ISE14.5里面调用modelsim的时候,一开始会出现一个对话框,什么预编译失败,建议添加环境变量什么的,然后百度之后,设置好环境变量,也运行了Simulation Library Compilation Wizard和Compile Simulation Libraries进行元件库的链接,还有那个ini文件的添加我也做了,之后再回到ISE 调用modelsim的时候,那个预编译的对话框没有了,顺利进入modelsim,但是出现了下面3个错误
** Error: Library std not found.
# ** Error: Vhdl Compiler exiting
# ** Error: D:/modeltech64_10.2c/win64/vcom failed.
请问下各位大神有什么解决办法,不胜感激,百度了很多方法都没解决。。。
程序应该没问题,在compiled HDL simulation libraries 的时候出现了如下警告
WARNING:Compxlib - Compxlib could not copy 'D:\modeltech64_10.2c\modelsim.ini' to 'd:\Xilinx\14.5\ISE_DS\ISE\vhdl\mti_se\10.2c\nt64/D:\modeltech64_10.2c\modelsim.ini' due to some problems in the copyoperation. The compilation will continue without
   copying.


求救求救,先感谢各位。。。原来都是Altera+verilog的,现在转到Xlinx+VHDL,入手感觉有点难。
发表于 2014-7-31 11:14:58 | 显示全部楼层
估计是环境变量没搞对,还有你可以试试把modelsim.ini拷到工程目录下在试试,对了,看看调用仿真库的路径对不对
 楼主| 发表于 2014-7-31 11:28:10 | 显示全部楼层
回复 2# lxt1020


   环境变量应该没出错(猜的),因为那个错误显示是,连接不到库。调用modelsim的时候看到右边的库,都有了,就是不知道为什么调用不了。。
 楼主| 发表于 2014-7-31 11:33:02 | 显示全部楼层
回复 2# lxt1020


   你是说哪个modelsim.ini   ISE 下面的还是modelsim下面的?谢谢了
发表于 2014-7-31 12:20:30 | 显示全部楼层
回复 4# dexter2046
你说的是ise的,我之前也遇到过类似的情况,后来只能每次建一个工程拷过来一个.ini
发表于 2014-7-31 12:30:31 | 显示全部楼层
库没有添加。找到"<ISE的安装目录>\ISE_DS\ISE\bin\nt\compxlibgui.exe"运行compxlibgui.exe添加相关库到modelsim,网上这个方法试了吗
发表于 2014-7-31 12:52:12 | 显示全部楼层
单独仿真不是挺好的吗,干嘛要调用啊
 楼主| 发表于 2014-7-31 16:38:35 | 显示全部楼层
回复 6# lxt1020


   试过了。。
发表于 2015-5-13 09:24:25 | 显示全部楼层
我也遇到了同样的问题还没有解决
发表于 2015-5-31 10:35:42 | 显示全部楼层
我也遇到一样的问题,ise14.7+modelsim10.2c,目前没解决。。。
ps:既然已经运行了Simulation Library Compilation Wizard,为何还需要运行Compile HDL Simulation Libraries?我觉得它俩的作用是一样的呀
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-21 10:14 , Processed in 0.045019 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表