在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2988|回复: 3

[讨论] 为什么ENCOUNTER不能修正以下的HOLD TIME?

[复制链接]
发表于 2014-7-8 09:20:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 abcn101 于 2014-7-8 09:51 编辑

这是一个CLOCK GATING HOLD 违例,时间也不是很大,0.4ns左右。
按理说工具可以简单的加一个DELAY CELL或者若干INV就可以解决了,但为啥它就不修呢?
我已经反复地执行optDesign -hold了,没用。





  1. Path 1: VIOLATED Clock Gating Hold Check with Pin u217/B
  2. Endpoint:   u217/AN            (v) checked with trailing edge of 'SYS_CLK'
  3. Beginpoint: cpu_clk_gate_reg/Q (v) triggered by trailing edge of 'SYS_CLK'
  4. Path Groups:  {clkgate}
  5. Other End Arrival Time         20.039
  6. + Clock Gating Hold             0.200
  7. + Phase Shift                   0.000
  8. + Uncertainty                   0.300
  9. = Required Time                20.539
  10.   Arrival Time                 20.141
  11.   Slack Time                   -0.398
  12.      Clock Fall Edge                     20.000
  13.      = Beginpoint Arrival Time           20.000
  14.      Timing Path:
  15.      +----------------------------------------------------------------------------------+
  16.      |     Instance     |     Arc     |    Cell    |  Slew | Delay | Arrival | Required |
  17.      |                  |             |            |       |       |  Time   |   Time   |
  18.      |------------------+-------------+------------+-------+-------+---------+----------|
  19.      | clksw3_m         | clk_out v   | clksw3     |       |       |  20.000 |   20.398 |
  20.      | cpu_clk_gate_reg | GN v -> Q v | LATNHD1X   | 0.046 | 0.141 |  20.141 |   20.539 |
  21.      | u217             | AN v        | NOR2B1HD1X | 0.046 | 0.000 |  20.141 |   20.539 |
  22.      +----------------------------------------------------------------------------------+
  23.      Clock Fall Edge                     20.000
  24.      = Beginpoint Arrival Time           20.000
  25.      Other End Path:
  26.      +-------------------------------------------------------------------------+
  27.      | Instance |    Arc     |    Cell    |  Slew | Delay | Arrival | Required |
  28.      |          |            |            |       |       |  Time   |   Time   |
  29.      |----------+------------+------------+-------+-------+---------+----------|
  30.      | clksw3_m | clk_out v  | clksw3     |       |       |  20.000 |   19.602 |
  31.      | u216     | A v -> Z ^ | INVHDPX    | 0.061 | 0.039 |  20.039 |   19.641 |
  32.      | u217     | B ^        | NOR2B1HD1X | 0.061 | 0.000 |  20.039 |   19.641 |
  33.      +-------------------------------------------------------------------------+


复制代码
发表于 2014-7-8 11:35:31 | 显示全部楼层
自己手动加一个呢?
发表于 2014-7-8 22:02:32 | 显示全部楼层
可能他有setup violation的风险 ,  搞不定就手工加吧
发表于 2014-7-28 15:52:48 | 显示全部楼层
good job
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 12:00 , Processed in 0.023083 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表