在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4623|回复: 8

[求助] 求助:关于candence下数模混合仿真

[复制链接]
发表于 2014-6-11 09:50:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 sokiphon 于 2014-6-11 09:58 编辑

3.jpg 2.jpg 1.jpg RT,之前一直没有接触数模混合方面的东西。现在需要做一些数模混合仿真,我已经有.V和.lib文件。我是在IC61下仿真,我的方法:
1.import .V文件,转换成functional的symbol,
2.创建一个模拟电路symbol
3.创建模拟和数字电路顶层线路,创建config,数字interface设置好,打开ADE,simulator设置为spectreverilog,导入model文件(这里我只设置了模拟需要的model,自始至终没用到.lib文件),设置瞬态仿真时间,开始仿真,
前几步对照网上找到的cadence spectreverilog仿真资料,应该都没什么问题,可是最后仿真总是unsuccessful。
我把过程中出现的问题和主要界面截图了,麻烦大神们帮我看一下,指教一二,谢谢!

混仿错误信息.doc

126 KB, 下载次数: 14 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2014-6-11 10:02:17 | 显示全部楼层
有木有这方面的高手....
 楼主| 发表于 2014-6-11 13:55:54 | 显示全部楼层
木有人回答吗?
发表于 2014-6-11 14:18:03 | 显示全部楼层
ADE->Simulation->Options->Digital 打开之后有个Verillog-XL Executable 需要设置Verilog.vmx的路径。
 楼主| 发表于 2014-6-11 17:06:01 | 显示全部楼层
回复 4# cadence大神


   这个应该怎么设置,设置有什么作用?谢谢~
发表于 2014-6-30 16:14:47 | 显示全部楼层
我自己用ams仿真。
需要有个connect rule,也就是数字电平和模拟电平的关系,这个你ms没有。
其余的看上去没什么问题。
发表于 2015-5-29 11:28:36 | 显示全部楼层
回复 1# sokiphon


   不知道楼主的问题解决了吗?我想问一下IE如何设置,我设置完成后输出数字高电平还是默认的5V,数字模块没有正常工作,楼主有遇到吗?
发表于 2015-6-3 19:35:10 | 显示全部楼层
怎么解决的呀!
发表于 2015-9-17 18:01:28 | 显示全部楼层
USRERR 是错误提示,数模分割没法认你的VPULSE VDC等。
view list:verilog spectre schematic functional
stop list: verilog spectre functional
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 19:32 , Processed in 0.039353 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表