在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8678|回复: 7

[求助] set_propagated_clock issue

[复制链接]
发表于 2014-6-3 16:50:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问各位大牛,假设Design中有两支true clock (CLKA CLKB) 和 一支 virtual clock (VCLK land on  FF1/Q) , 如果设置成propagated_clock 的话,下面[A] [B] 两种设置是等价的么?
[A]  set_propagated_clock [all_clocks]
[B]  set_propagated_clock [get_ports CLKA] set_propagated_clock [get_ports CLKB] set_propagated_clock [get_pins FF1/Q]
发表于 2014-6-6 16:23:54 | 显示全部楼层
沒啥差別, 一樣意義.
 楼主| 发表于 2014-6-9 13:21:05 | 显示全部楼层
回复 2# kevin9133023


   个人觉得也没有什么区别。 不过如果用True Clock设置clock_latency 来约束Interface time, 两者就会有区别的。[A] 会 reset clock_latency . 而 [B] 是会keep clock_latency .   很怪异~
发表于 2015-2-28 08:25:22 | 显示全部楼层
1)一直以为set_propagated_clock对象为时钟,楼主写的实例发现,也可以是port或者pin,学习了
2)第二个想问“个人觉得也没有什么区别。 不过如果用True Clock设置clock_latency 来约束Interface time, 两者就会有区别的。[A] 会 reset clock_latency . 而 [B] 是会keep clock_latency .   很怪异~”,不是特别理解,如何设置了时钟设置了latency(ideal),A就会使用网表里的,B使用命令行设置的ideal latency??
希望得到回复
发表于 2015-2-28 16:07:51 | 显示全部楼层
一般来说,pin或者port的优先级比waveform或者clock要高。看下面的解释。

pin_clock_list

Specifies the objects on which you want to put the propagated_clock assertion. The pin_or_clock_list argument can be a collection. When a pin is specified, it affects the propagation mode for all the registers in the transitive fanout (TFO) of the pin.
发表于 2017-7-4 19:19:19 | 显示全部楼层
在pin或port上设置propagated clock,对应的clock不会有P属性,但是latency会变成根据时钟树计算出来的值
发表于 2017-7-5 10:38:10 | 显示全部楼层
回复 6# myr


   您好,您的意思是:
  在propagated后,外面声明的virtual clock 的latency还是ideal的,不是propagated?
发表于 2017-7-5 15:48:27 | 显示全部楼层
回复 7# xingyun666666
virtual clock没有试过,可以在set_propagated_clock之后用update_clock_latency更新下,再report_clock -attr -skew
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 15:13 , Processed in 0.027802 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表