在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2545|回复: 4

[求助] Testbench 波形 请教

[复制链接]
发表于 2014-6-2 15:18:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

小弟初来乍到,还请大家多多指教。最近开始学verilog,很多问题不懂。希望能够得到大家的帮助。

我想在testbench生产这样一个波形:

有个2位信号sel,产生前10ns为00,后面每30ns 加1的波形。如下

0    10ns       40ns         70ns        100ns        130ns.......

   00        00           01             10             11

但我现在只能产生30ns循环的波形。code如下





  1. `timescale 1ns / 1ps

  2. module Test;

  3.         // Inputs
  4.         reg [7:0] ina;
  5.         reg [7:0] inb;
  6.         reg [1:0] sel;

  7.         // Outputs
  8.         wire result;

  9.         // Instantiate the Unit Under Test (UUT)
  10.         M_compare uut (
  11.                 .ina(ina),
  12.                 .inb(inb),
  13.                 .sel(sel),
  14.                 .result(result)
  15.         );

  16.         initial begin
  17.                 // Initialize Inputs
  18.                 ina = 0;
  19.                 inb = 0;
  20.                 sel = 0;
  21.         end
  22.    
  23.         always
  24.                 begin
  25.                         #10 ina = 8'h23;
  26.                         #10 ina = 8'h8A;
  27.                         #10 ina = 8'h79;
  28.                 end
  29.                
  30.         always
  31.                 begin
  32.                         #10 inb = 8'h15;
  33.                         #10 inb = 8'hAC;
  34.                         #10 inb = 8'h79;
  35.                 end

  36.         always #30 sel=sel+1;
  37.                        
  38. endmodule



复制代码

12.png

发表于 2014-6-2 20:09:12 | 显示全部楼层
把always用forever替换。
initial begin
  sel = 0;
  #10 sel = 1;
  forever begin
     #30;
     sel = sel + 1;
  end
end
发表于 2014-6-3 08:35:27 | 显示全部楼层
楼上正解
 楼主| 发表于 2014-6-5 00:55:59 | 显示全部楼层
回复 2# acgoal


   十分感谢,顺利解决。
发表于 2017-4-21 17:15:20 | 显示全部楼层
新手学些了!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 13:19 , Processed in 0.025181 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表