在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 6862478

[求助] UVM实例

[复制链接]
发表于 2014-5-27 16:24:20 | 显示全部楼层
回复 4# lilyzhong


   还好吧,敲了我两个礼拜,算上调试的时间,差不多三个礼拜...才要100信元....
发表于 2014-5-28 16:46:55 | 显示全部楼层
感謝分享
发表于 2014-5-28 21:48:54 | 显示全部楼层
怎么下载呀
发表于 2014-8-25 19:37:02 | 显示全部楼层
学习啊
发表于 2014-11-18 09:57:13 | 显示全部楼层
回复 2# guolehaohao
你好
那个程序没跑通
make的时候总是出现uvm_agent syntax error unexpected IDENTIFIER
感觉是import或者include的问题
参考了下面的网站,还是没解决
https://verificationacademy.com/forums/ovm/getting-error-syntax-error-unexpected-identifier
能否指点下
谢谢
发表于 2014-11-18 11:04:34 | 显示全部楼层
楼主没有自测??
发表于 2014-11-18 11:34:03 | 显示全部楼层
回复 15# lilyzhong


   不是已经提示了是agent的语法错误问题么...我用的是UVM1.1d的库,当然1.1c也可以跑通....你试试用UVM1.1d试试,软件自带的应该是uvm1.1或者uvm1.1a
发表于 2014-11-18 14:26:44 | 显示全部楼层
回复 15# lilyzhong


   你的仿真命令怎么打的?
发表于 2014-11-18 15:26:48 | 显示全部楼层
回复 18# seabeam


    all: work build run

work:
    vlib work
build:
    vlog +incdir+dut_hello.v +acc
    vlog +incdir+./*.sv
    vlog hello_if.sv -timescale 1ns/10ps

run:
    vsim -novopt -do "run -all" hello_top_tb +UVM_TESTNAME=hello_case

clean: ...

直接make的

我是在Questa下,uvm1.1a。跑过其他例程是可以的。

谢谢
发表于 2014-12-5 20:45:34 | 显示全部楼层
学习一下。。。。。。。。。。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-23 05:31 , Processed in 0.020547 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表